TechTech Technologies

QMod - Quad Quadrature Modem

QMod is a simple quadrature modulation toolkit providing 4 pairs of modulator and demodulator, carrier frequency controls, and an external carrier input. The module was designed to explore concepts of quandrature modulation in the autio range without hardware limitations. For a fun time, try passing the modulated signal through a fixed filter and changing the carrier frequency to move it in and out of the passband. It's like a VCF, but you're moving the signal instead of the filter. Be advised that this module does not include any filtering, so you'll have to remove the high frequency image from the demodulated signal yourself.

You can also create a sort of crossfader by putting two different signals on I and Q and applying a variably phase shift to the modulated signal prior to demodulation. 

I would kind of like to replace the external carrier input with some sort of carrier waveform control, since generating your own quadrature waveform can be tricky, and I haven't found the external carrier input particularly useful or interesting.